Expanded Foundry Enterprise Provides 14A Course of To Roadmap
5 nodes in 4 years. That is what Intel CEO Pat Gelsinger promised Intel’s prospects, traders, and the world at giant again in 2021, when he laid out Intel’s bold plan to regain management within the foundry house. After shedding Intel’s long-held spot as the highest fab on the earth because of compounding delays within the 2010s, the then-new Intel CEO bucked calls from traders to dump Intel’s fabs, and as a substitute go all-in on fabs like Intel has by no means executed earlier than, to grow to be a top-to-bottom foundry service for your complete world to make use of.
Now a bit over two years later, and Intel is simply beginning to see the primary fruits from that aggressive roadmap, each by way of applied sciences and prospects. Merchandise based mostly on Intel’s first EUV-based node, Intel 4, can be found available in the market right this moment, and its high-volume counterpart, Intel 3, is prepared as nicely. In the meantime, Intel is placing the ultimate touches on its first Gate-All-Round (GAAFET)/RibbonFET for 2024 and 2025. It’s a heady time for the corporate, however it’s additionally a essential one. Intel has reached the purpose the place they should ship on these guarantees – they usually want to take action in a really seen approach.
To that finish, right this moment Intel’s Foundry group – the artist formally often called Intel Foundry Providers – is holding its first convention, Direct Join. And much more than being a showcase for purchasers and press, that is Intel’s coming-out social gathering for the fab trade as a complete, the place Intel’s foundry (and solely Intel’s foundry) will get the highlight, a rarity within the huge enterprise that’s Intel.
Together with outlining Intel’s progress on assembly their 5 nodes in 4 years objective, Direct Join can also be Intel’s first probability to speak about what’s going to come after these first 5 nodes. As Intel Foundry expands in capability, prospects, and tooling, the group is a slate of not solely much more superior nodes, but additionally a slew of more and more needed packaging applied sciences to again them up. And whereas right this moment’s occasion gained’t match the general audacity of Gelsinger’s 2021 proclamation, it’s nonetheless an necessary take a look at what’s in retailer over the subsequent a number of years for the as soon as (and future?) foundry king.
Altogether, there are a number of bulletins of word right here, so let’s dive proper in.
Intel Foundry Providers Turns into Simply “Intel Foundry”, Opens The Door On Packaging & Testing
Kicking off what Intel is looking the “programs foundry” period, Intel’s foundry group is getting a brand new identify. Intel’s total assortment of foundry companies, from fabs to testing to superior packaging, is now being positioned underneath the singular Intel Foundry banner.
That is in some respects a brand new coat of blue paint for companies Intel additionally has. However it’s additionally meant to underscore the character of Intel’s service choices. The corporate isn’t simply trying to fab chips for purchasers, however they’re trying to be a one-stop store for chip manufacturing. So together with wafer lithography, Intel can also be opening up its full ecosystem of superior packaging, chip meeting, and testing to potential shoppers. Prospects will have the ability to get a very completed chip out of Intel, if they want, and even simply benefit from the person companies that Intel is providing.
There are a number of angles to this announcement, however above all else, it’s spotlight Intel’s need to do all of it. They’re not going to take up a single area of interest within the foundry world; they wish to do every part with a view to entice as many shoppers as potential – to scale out as a lot as potential.
Extra broadly talking, there may be an undercurrent of need to have the ability to capitalize on any stumbles Intel’s opponents make, as these are sometimes the perfect alternatives to maneuver forward within the ongoing foundry race. It was Intel stumbling with 10nm (and a lesser extent, 14nm) that set them again, and with the ability to swoop in when TSMC, Samsung, or the opposite members of their provide chain stumble is a method Intel can get again into course of management, in addition to finally taking the highest fab spot general.
Going Past Intel 18A: 18A-P, 14A, and Hybrid Bonding
Enterprise issues apart, the meat of right this moment’s announcement from Intel is on the corporate’s fab roadmap, which is getting its first extension in over two years. Now that Intel is delivery a few of their first catch-up nodes, and on the point of ship the remainder, the corporate is providing a take a look at what’s to come back after 18A in 2025.
Word: Intel’s Fab Roadmap Dates Are Based mostly On Danger Manufacturing
On the high-performance/high-density observe, the large addition right here is 18A’s successor, 14A. Amongst different accomplishments, 14A might be Intel’s first manufacturing use of Excessive-Numerical Aperture (Excessive-NA) EUV, the subsequent era of Excessive Ultraviolet lithography. Excessive-NA EUV guarantees even finer options, permitting wafers to be processed with out counting on a number of patterning, which is anticipated to grow to be needed with typical EUV at smaller node sizes. Intel has nearly wager their foundry enterprise on Excessive-NA, a pointy distinction from Intel being comparatively late within the sport to choose up EUV (Intel 4/Meteor Lake being their first product), to the purpose the place Intel has secured the world’s sole prototype High-NA scanner.
With Excessive-NA at their disposal, 14A might be Intel’s first full node past the amalgamation of 20A/18A. Simply what it’s going to ship by way of characteristic sizes and efficiency stays to be seen – with threat manufacturing set for the tip of 2026, it’s nonetheless a couple of years out – but when all goes in accordance with plan for Intel, it is going to be the place they additional cement their place because the chief in fab course of expertise.
Elsewhere, Intel is planning on a number of variations of their main nodes, together with 14A. These variations are all getting new suffixes, which break down as the next.
E, Function Extension: E nodes are a little bit of a catch-all labeling for a node that has been enhanced in some trend. In accordance with Intel, that is based round new options, comparable to assist for larger voltages (suppose TSMC ‘X’ nodes for HPC), larger temperatures, or the like. These nodes could carry out higher than a base node as nicely, however usually talking, it is going to be a sub-5% enchancment in performance-per-watt.
P, Efficiency Enchancment: These are nodes that provide a bigger, however nonetheless modest, efficiency enchancment over the bottom model of a node. A P node ought to provide 5 to 10 p.c perf-per-watt enchancment. They’re basically the “plus” model of a node. As an apart, if a brand new node provides a greater than 10% perf-per-watt enchancment, then Intel says we must always anticipate it to be a brand new node completely.
T, By-Silicon Vias: Lastly, the T suffix might be used to point particular variations of Intel’s nodes that assist through-silicon vias (TSVs), to be used in copper-to-copper hybrid bonding. Additionally promoted by Intel underneath their Foveros Direct 3D branding, hybrid-bonding is the present end-game of die stacking, permitting dies to be instantly stacked on high of one another utilizing miniscule copper bonds that route into their respective die utilizing TSVs. Hybrid bonding/TSVs will permit a bump pitch of underneath 10 microns, and thus an infinite variety of connections between dies even inside a single sq. millimeter.
With these suffixes in thoughts, we see a number of variations of present, forthcoming, and newly introduced course of nodes on Intel’s roadmap. On the efficiency observe is 14A-E, which is the farthest-out node on Intel’s newest roadmap. Intel isn’t disclosing the precise enhanced options provided right here, however excessive voltage operation is pretty much as good a guess as any.
In the meantime 18A will get the next performing variant in or round 2025 with 18A-P. Intel has repeatedly famous that 18A is anticipated to be a long-lived node, so it’s not shocking to see it getting the next performing variation, particularly as there might be a necessity for nodes that aren’t topic to the design limitations of Excessive-NA scanning (mainly, die/reticle sizes).
Intel 3, Intel’s first high-volume EUV node, can even get a couple of variations over the subsequent few years. This contains Intel’s first node for TSVs/Foveros Direct, Intel 3-T, in addition to a characteristic enhanced Intel 3-E within the 2025 timeframe. Lastly, a second TSV-enabled model of the node based mostly on a higher-performing design will include Intel 3P-T. Notably, solely Intel 3 has any TSV-enabled nodes on Intel’s roadmap; not even 18A is slated to get Foveros Direct compatibility by way of 2027.
Intel’s Course of Node Expertise | |||
Node | Roadmap | Merchandise | Options |
Intel 4 | Out there In the present day | Meteor Lake | EUV |
Intel 3 | HVM Prepared | Sierra Forest Granite Rapids |
Extra EUV Full colleciton of cell libraries |
Intel 20A | Late 2024 Merchandise | Arrow Lake | RibbonFET PowerVia |
Intel 18A | Late 2025 Merchandise | Clearwater Forest Panther Lake |
Quick Observe On Course of Management |
Intel 14A | Late 2026 Danger Manufacturing | – | Excessive-NA EUV |
Intel 14A-E | 2027 Danger Manufacturing | – | Extra Options |
Lastly, Intel has their beforehand introduced Intel 12 node that needs to be manufacturing prepared by 2027. This price range node is being developed along with UMC, although it is going to be fabbed solely at Intel Foundry.
Intel: 5 Nodes In 4 Years Is On Monitor
Whereas the spotlight of right this moment’s bulletins from Intel are round their future ambitions, to get there they nonetheless have to hit their objectives within the current. And which means delivering on their 5 nodes in 4 years promise on time.
The lengthy and wanting issues right here is that Intel is reiterating as soon as extra that the 4 12 months plan stays on observe. Intel’s 4 12 months plan ended with 18A being manufacturing prepared in 2025, and in 2024, prospects can already start designing chips for Intel’s most bold node.
Notably right here, Intel has already completed the tape-out for their very own 18A lead product, Clearwater Forest. Clearwater is Intel’s second-generation E-core based mostly Xeon (the successor to Sierra Forest), and it’ll finally be joined by Panther Lake as Intel’s first two massive 18A tasks.
With its mixture of characteristic measurement, RibbonFET transistors, and PowerVia backside power delivery, Intel has beforehand acknowledged that they anticipate to regain course of management with 18A. And as of right this moment’s occasion, that continues to be Intel’s projection for after they’ll regain management.
In the meantime, a bit nearer to manufacturing, Intel is reporting that Intel 3, their high-volume EUV course of node, is prepared for high-volume manufacturing. It’s predecessor, Intel 4, is already delivery right this moment for Meteor Lake, and intel 3 is the refined model of it with a full vary of libraries out there (quite than the high-performance-only Intel 4).
Provided that Intel is barely delivery merchandise utilizing the second of their 5 nodes at this level, there isn’t a getting round the truth that, no less than as an outdoor observer, quite a lot of Intel’s “on observe” announcement is taking the corporate’s phrase for it. However provided that Intel’s timeline from the very begin has been based mostly on inside (threat manufacturing) milestones and never product cargo milestones, it was by no means going to be another approach.
Nonetheless, absent Clearwater Forest chips in our palms right this moment, the truth that they’ve designs taped out and are prepared for buyer designs is about as promising an indication as one may hope for.
Intel can also be fast to tout their buyer wins right here as additional proof of their progress, and that Intel Foundry is heading in the right direction. Whereas Intel shouldn’t be disclosing the names of any particular companions, they’re disclosing that they’ve 4 “giant” offers in place for 18A. And that one in all these offers features a “significant” prepay settlement. Finally, the monetary success of Intel Foundry hinges not solely on creating new nodes, however signing up prospects as nicely with a view to get the mandatory quantity wanted to make all of those main investments pencil out. So for Intel, having prospects keen to go as far as to prepay for capability is a big feather of their cap as a relative newcomer to the contract foundry enterprise.
The Ecosystem Comes Collectively: EDA Instruments & IP Are Prepared
Lastly, a portion of right this moment’s occasion is being devoted to the distributors exterior of Intel who’re accountable for offering the remainder of the instruments, IP, and different components wanted to finish the Intel Foundry ecosystem.
The shift to contract manufacturing entails a number of modifications for Intel, and one of many largest of those modifications is how chips are designed for Intel’s fabs. When Intel solely fabbed chips for inside use, the corporate was free to make use of no matter instruments they wanted nonetheless they wanted them – there wasn’t a lot of a necessity for standardization, by no means thoughts being open with outsiders about how these processes labored. However now that the doorways are open at Intel Foundry, Intel has to work carefully with software suppliers in order that exterior firms can efficiently use their fabs. Meaning Intel is within the strategy of transitioning from a completely inside ecosystem to an exterior ecosystem; and a part of their future success hinges on making certain every part is correctly in place for purchasers to develop chips for his or her fabs.
The tip result’s that Intel Foundry has been working with a who’s who of Digital Design Automation (EDA) suppliers, whose instruments are the idea of recent chip design. This contains Synopsys, Cadence, Ansys, Siemens, and others. And plenty of of whom might be talking on the Direct Join occasion this morning, saying that their instruments have been certified for Intel Foundry’s exterior nodes.
Apparently, Intel Foundry can also be saying a broad trade collaboration round EMIB right this moment. I’m anticipating to listen to extra about it within the present’s deliberate EDA session later this morning, however in accordance with Intel, the corporate has been working with EDA software distributors to streamline using EMIB in chip designs, permitting quicker improvement and supply of EMIB-equipped chips for Intel Foundry prospects.
Moreover EDA instruments, Intel can also be lining up IP suppliers with a view to get their essential IP ported over or in any other case developed for Intel Foundry’s course of nodes. That is a good bigger record of companions, and covers every part from the mundane (reminiscence PHYs) to advanced designs like CPU cores. Even the biggest chip designers don’t design every part completely in home, so accessing the foundational IP blocks wanted to flesh out a chip design is the opposite main ecosystem want for Intel Foundry.
Total, Intel Foundry has been courting all kinds of firms over the previous couple of years. However it’s arguably rival CPU designer Arm that’s a very powerful IP vendor for Intel. Moreover the truth that Arm-based chips have taken a big chunk out of Intel’s as soon as rock-solid datacenter enterprise (particularly with cloud distributors now designing their very own chips), Arm can also be an extremely well-liked pairing with AI accelerators – one thing even Arm themselves are relying on for his or her next-generation of Neoverse designs. So if Intel Foundry desires to faucet into the burgeoning (and extremely worthwhile) AI market, they want to have the ability to present not solely the capability to make AI accelerators, however the CPU cores to go together with them.
Although in that respect, it needs to be famous that Intel itself can also be an IP provider right here. The Intel Product group might be competing for enterprise as a chiplet/IP provider, and at the same time as a semi-custom design agency that, conceivably, may produce custom-made designs based mostly round Intel’s IP for giant prospects that actually want that degree of customization. The main focus of right this moment’s bulletins are round Intel Foundry for apparent causes, however the success of the Intel Foundry enterprise goes to be extra than simply making chips for third events solely based mostly on third-party IP.