Samsung Foundry Vows to Surpass TSMC Inside 5 Years


The pinnacle of Samsung’s semiconductor unit acknowledged final week that the corporate’s present mass manufacturing, modern course of applied sciences are a few years behind TSMC’s most superior manufacturing nodes. However Samsung is working arduous to meet up with its bigger rival in 5 years. 

“To be sincere, Samsung Electronics’ foundry expertise lags behind TSMC,” stated Dr. Kye Hyun Kyung, the top of the Samsung Electronics Gadget Options Division, overseeing international operations of the Reminiscence, System LSI and Foundry enterprise models,” at a lecture on the Korea Superior Institute of Science & Expertise (KAIST), reviews Hankyung. “We will outperform TSMC inside 5 years.”

Samsung has been investing tens of billions of {dollars} in its foundry division within the current years in a bid to meet up with TSMC and Intel, each when it comes to manufacturing capability for LSI chips in addition to course of expertise benefits. The corporate has considerably closed the hole with its rivals, however it’s nonetheless not fairly on par with TSMC’s fabrication applied sciences in terms of efficiency, energy, space (transistor density), and value metrics.

Whereas Samsung Foundry is the primary contract maker of chips to undertake gate-all-around (GAA) transistors with its SF3E (3GAE, 3 nm, gate-all-around early) node, and the corporate’s clients are enthusiastic concerning the expertise itself and the novel transistor structure, this course of will not be used for Samsung’s personal modern system-on-chips for smartphones. 

“Clients’ response to Samsung Electronics’ 3nm GAA course of is nice,” stated Dr. Kye Hyun Kyung.

In the meantime, Samsung’s newest Galaxy S23-series makes use of Qualcomm’s Snapdragon 8 Gen 2 SoC is made by TSMC on its N4 fabrication course of.

Samsung Foundry’s most superior expertise that can be utilized to make highly-complex SoCs for smartphones or different demanding purposes is SF4 (4LPP, 4 nm, low-power plus), which, as the corporate admits, is considerably behind TSMC’s N3 (N3B) node, is rumored for use for mass manufacturing of Apple’s highly-complex SoCs presently.

The corporate could considerably shut the hole with TSMC’s N3 and N4P with its SF4P (4LPP+) that will probably be out there for purchasers later this yr, based on a clarification revealed by @Tech_Reve.

Samsung Foundry may have a greater probability to meet up with TSMC when its SF3 (3GAP) fabrication node enters excessive quantity manufacturing in 2024, although by the point TSMC may even offer its extra superior N3P manufacturing expertise.  Across the identical time Samsung additionally plans to supply SF4X (4HPC), a 4 nm-class fabrication expertise that can (because the title suggests) tackle high-performance CPUs and GPUs.

Samsung reportedly believes that transition to GAA transistors within the 2022 ~ 2023 timeframe makes an awesome sense since it is going to have time to repair teething issues of the brand new structure forward of its rivals, most notably Intel and TSMC. In consequence, after they begin fabbing chips on their 2 nm-class applied sciences (20A, N2) in 2024 – 2025 and probably encounter the identical points that Samsung is fixing right this moment, its SF2 node will be capable of provide a greater mixture of energy, efficiency, transistor density, prices, and yields.

Supply: Hankyung.com (by way of @Tech_Reve)



Leave a Reply

Your email address will not be published. Required fields are marked *